Autore Topic: Pocketcard +new Pla  (Letto 9908 volte)

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #30 il: 03 Agosto 2011, 11:20:13 »
 Disponibile la versione 0.94 firmware CARTRIDGE-MAKER

http://www.rgmelsat.com/listino1/novita.htm

xad

  • Neo-iscritto
  • *
  • Post: 23
    • http://www.nightfallcrew.com
  • Gioco Preferito: Commando
Pocketcard +new Pla
« Risposta #31 il: 03 Agosto 2011, 16:13:40 »
 Recensione KIT Pocket 1541 Plus + Pocketcard 64 + PocketPLA 64

http://www.nightfallcrew.com/03/08/2011/un...n-2011/?lang=it

Grazie a tutti per il lavoro che avete fatto.

[xAD]
 
xAD [xAD^nFL] oF nIGHTFALL

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #32 il: 08 Ottobre 2011, 16:30:48 »
 Sto realizzando una nuova Flash per la POCKETCARD con tutta una serie di utility e Diagnostici,sempre utili e indispensabili .
Chi e' interessato puo' chiedermela, la sto componendo,sulla flash c'e' ancora spazio vuoto  per eventuali altri programmi,accetto suggerimenti per il suo completamento.
Ciao

oregon

  • Newbie
  • *
  • Post: 4
  • Gioco Preferito: Olympic Games
Pocketcard +new Pla
« Risposta #33 il: 08 Ottobre 2011, 22:19:49 »
 Solo per informazione, è possibile realizzare una PLA (per sostituire la vecchia PLA guasta, la 906114) con un semplice integrato CPLD (Altera EPM7032) opportunamente programmato con le equazioni originali Commodore.

Io l'ho fatto su un mio C64 e funziona perfettamente (con una minima spesa).

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #34 il: 09 Ottobre 2011, 10:21:02 »
 Risposta post fuori tema.
***************************************************************
Scusa ma per un utente medio e' meglio usare una veloce 27c512-70 o meglio una flash per sostituire un normale PLA standard 906114 rotto.
Le 7032 bisogna programmarle e non tutti hanno capacita', zoccolo e il programmatore specifico per le CPLD.
Il file per la eprom si trova facilmente sul web con lo schema per lo zoccolo adattatore, le 7032 vanno benissimo ma sono sprecate,vanno bene se ci  lavori e hai tutta l'attrezzatura,non dimenticare poi le difficolta' per la realizzazione del circuito stampato.
Sarebbe invece molto importante rifare il PLA in CPLD per la seconda serie del C64 quello grosso per intenderci.
***************************************************************
Comunque ritornando a noi
Se avete qualche programma /utility e volete che lo inserisca nella nuova flash non avete che da contattarmi,sto inserirendo quello che mi state chiedendo,pero' cercate di farlo sul forum non per strada o sulla fiera.
Ciao

oregon

  • Newbie
  • *
  • Post: 4
  • Gioco Preferito: Olympic Games
Pocketcard +new Pla
« Risposta #35 il: 13 Ottobre 2011, 00:04:49 »
 Beh, non è proprio fuori tema ... parliamo *anche* di sostituire il PLA o no?

E io ho detto la mia sul PLA ...

Non so se un utente medio prende il 27c512 o la flash e la programma, perché avrebbe bisogno di una attrezzatura (programmatore di EEPROM o Flash) abbastanza costosa ... Forse gliela fornisci tu già programmata, ma è un altro discorso ...

Ma la CPLD (una 7032) la trovi su RS a pochi euro così come il programmatore (Nuova Elettronica). Il programma per programmarle viene distribuito gratuitamente dalla Altera e il file per ottenere un PLA è

Codice: [Seleziona]
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY PLA_MODULE IS
PORT
(
  P_A12      : IN  STD_LOGIC;      -- pin 27
  P_A13      : IN  STD_LOGIC;         -- pin  2
  P_A14      : IN  STD_LOGIC;         -- pin  3
  P_A15      : IN  STD_LOGIC;         -- pin  4
  P_VA12     : IN  STD_LOGIC;         -- pin 20
  P_VA13     : IN  STD_LOGIC;         -- pin 21
  P_VA14_N   : IN  STD_LOGIC;         -- pin  5
  P_LORAM_N  : IN  STD_LOGIC;         -- pin  8
  P_HIRAM_N  : IN  STD_LOGIC;         -- pin  7
  P_CHAREN_N : IN  STD_LOGIC;         -- pin  6
  P_CAS_N    : IN  STD_LOGIC;         -- pin  9
  P_GAME_N   : IN  STD_LOGIC;         -- pin 22
  P_EXROM_N  : IN  STD_LOGIC;         -- pin 23
  P_RW_N     : IN  STD_LOGIC;         -- pin 24
  P_AEC_N    : IN  STD_LOGIC;         -- pin 25
  P_BA       : IN  STD_LOGIC;         -- pin 26

  P_CHAROM_N : OUT STD_LOGIC;         -- pin 15
  P_KERNAL_N : OUT STD_LOGIC;         -- pin 16
  P_BASIC_N  : OUT STD_LOGIC;         -- pin 17
  P_ROMH_N   : OUT STD_LOGIC;         -- pin 10
  P_ROML_N   : OUT STD_LOGIC;         -- pin 11
  P_GRW_N    : OUT STD_LOGIC;         -- pin 13
  P_CASRAM_N : OUT STD_LOGIC;         -- pin 18
  P_IO_N     : OUT STD_LOGIC          -- pin 12
);
END PLA_MODULE;

ARCHITECTURE rtl OF PLA_MODULE IS

  SIGNAL ROML_N_S,
         ROMH_N_S,
         IO_N_S,
         GRW_N_S,
         CHAROM_N_S,
         KERNAL_N_S,
         BASIC_N_S,
         CASRAM_N_S    : STD_LOGIC;

BEGIN

  ROML_N_S <= NOT (
    (P_LORAM_N AND P_HIRAM_N AND P_A15 AND NOT P_A14 AND NOT P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N) OR
    (P_A15 AND NOT P_A14 AND NOT P_A13 AND NOT P_AEC_N AND P_EXROM_N AND NOT P_GAME_N)
  );

  ROMH_N_S <= NOT (
    (P_HIRAM_N AND P_A15 AND NOT P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_AEC_N AND P_EXROM_N AND NOT P_GAME_N AND P_VA13 AND P_VA12)
  );

  IO_N_S <= NOT (
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_EXROM_N AND NOT P_GAME_N)
  );

  GRW_N_S <= NOT (
    (NOT P_CAS_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N)
  );

  CHAROM_N_S <= NOT (
    (P_HIRAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_VA14_N AND P_AEC_N AND P_GAME_N AND NOT P_VA13 AND P_VA12) OR
    (P_VA14_N AND P_AEC_N AND NOT P_EXROM_N AND NOT P_GAME_N AND NOT P_VA13 AND P_VA12)
  );

  KERNAL_N_S <= NOT (
    (P_HIRAM_N AND P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N)
  );

  BASIC_N_S <= NOT (
    (P_LORAM_N AND P_HIRAM_N AND P_A15 AND NOT P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N)
  );

  CASRAM_N_S <= (
    (P_LORAM_N AND P_HIRAM_N AND P_A15 AND NOT P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_HIRAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND NOT P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_VA14_N AND P_AEC_N AND P_GAME_N AND NOT P_VA13 AND P_VA12) OR
    (P_VA14_N AND P_AEC_N AND NOT P_EXROM_N AND NOT P_GAME_N AND NOT P_VA13 AND P_VA12) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_HIRAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_LORAM_N AND P_CHAREN_N AND P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND P_BA AND NOT P_AEC_N AND P_RW_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND NOT P_A13 AND P_A12 AND NOT P_AEC_N AND NOT P_RW_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_LORAM_N AND P_HIRAM_N AND P_A15 AND NOT P_A14 AND NOT P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N) OR
    (P_A15 AND NOT P_A14 AND NOT P_A13 AND NOT P_AEC_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_HIRAM_N AND P_A15 AND NOT P_A14 AND P_A13 AND NOT P_AEC_N AND P_RW_N AND NOT P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND P_A13 AND NOT P_AEC_N AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_AEC_N AND P_EXROM_N AND NOT P_GAME_N AND P_VA13 AND P_VA12) OR
    (NOT P_A15 AND NOT P_A14 AND P_A12 AND P_EXROM_N AND NOT P_GAME_N) OR
    (NOT P_A15 AND NOT P_A14 AND P_A13 AND P_EXROM_N AND NOT P_GAME_N) OR
    (NOT P_A15 AND P_A14 AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND NOT P_A14 AND P_A13 AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_A15 AND P_A14 AND NOT P_A13 AND NOT P_A12 AND P_EXROM_N AND NOT P_GAME_N) OR
    (P_CAS_N)
  );

  P_ROML_N    <= ROML_N_S;  
  P_ROMH_N    <= ROMH_N_S;  
  P_IO_N      <= IO_N_S;    
  P_GRW_N     <= GRW_N_S;    
  P_CHAROM_N  <= CHAROM_N_S;
  P_KERNAL_N  <= KERNAL_N_S;
  P_BASIC_N   <= BASIC_N_S;  
  P_CASRAM_N  <= CASRAM_N_S;

END rtl;

Ognuno potrà realizzare da solo il proprio PLA a pochi euro ...

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #36 il: 13 Ottobre 2011, 09:20:37 »
 Qui in questa discussione  si parla di sostituire una PLA standard  con la nuova*POCKETPLA* stop.
(Mi dispiace deluderti ma non *fornisco* eprom ($) a nessuno  del forum,semmai ogni tanto le regalo, se mi va  e se ho tempo a chi e' in difficolta')
In pratica aiuto chi non ha un programmatore,ma questo e' senza dubbio un altro discorso.
Se vogliamo parlare invece di *PLA standard 906114*  apri una nuova discussione PLA e CPLD, cosi' se ne parla con calma, piu' approfonditamente e si puo' vedere di sviluppare il discorso anche nel campo CPLD che mi sta a cuore da anni ,ma non qui.Grazie.
La POCKETPLA di cui si parla in questa discussione, *non ha*  l'equazione sopra citata.
La *POCKETPLA* e' stata riscritta (rifatta) e * puo'* supportare  nuove funzioni  insieme alla POCKETCARD.
La pla standard invece sostituisce di fatto solo il 906114.
La nuova equazione  non e' di pubblico dominio.
Spero di essere stato esaustivo.
Ciao

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #37 il: 22 Ottobre 2011, 17:27:31 »
 MI E' STATO CHIESTO DI SPIEGARE MEGLIO LE FUNZIONI DEI 12 DIP SWITCH DELLA POCKETCARD.

POTREMMO DIVIDERLI SUBITO IN TRE GRUPPI DA 4.

I PRIMI 4 SERVONO PER SELEZIONARE IL TIPO DI CARTRIDGE .

PER LE CARTRIDGE NORMALI 8K (8000-9FFF)

1 ON     ROML
2 OFF   ROMH
3 OFF   GAME
4 ON     EXROM

PER LE CARTRIDGE 16K (8000 -9FFF /  A000-BFFF)

1 ON   ROML
2 ON   ROMH
3 ON   GAME
4 ON   EXROM

PER LE CARTRIDGE ULTIMAX  (8000-9FFF / E000-FFFF)

1 ON   ROML
2 ON   ROMH
3 OFF GAME
4 ON   EXROM

PER SELEZIONARE LA MODALITA' EXTERNAL  KERNAL (E000-FFFF) NECESSITA L'INSTALLAZIONE DELLA POCKETPLA

1 OFF   ROML
2 ON    ROMH
3 OFF  GAME
4 OFF  EXROM
 
SECONDO BLOCCO DIP SWITCH  5-6-7-8
SELEZIONANO 1 DEI 16 BANCHI DA (32KBX8)  DI CUI E'COMPOSTA LA POCKETCARD.

TERZO BLOCCO DIP SWITCH  9-10-11-12
SELEZIONANO ALL'INTERNO DI UN BANCO L'AREA UTILIZZATA

PER OGNI BANCO POSSONO ESSERE INDIRIZZATI

4 GAME 8000-9FFF  DA 8KB
OPPURE
2 GAME 8000-BFFF  DA 16KB
OPPURE
3 GAME 8000-9FFF + 1KERNAL IN POSIZIONE OBBLIGATA (E000 ULTIMA CASELLA DI OGNI BANCO)
OPPURE
1 GAME 8000-BFFF (16K GAME 1+GAME2)+ 1 GAME 8000-9FFF(GAME3) + 1 KERNAL E000-FFFF (SEMPRE E000 ULTIMA CASELLA DI OGNI BANCO)
1 GAME 16K ULTIMAX + 2 GAME 8000-9FFF

LA POCKETCARD SUPPORTA FINO A ***16 KERNAL ESTERNI*** (E000-FFFF)

iAN CooG

  • Utente
  • **
  • Post: 1774
    • http://iancoog.altervista.org
  • Gioco Preferito: Turbo Assembler, ActionReplay Monitor, DiskDemon
Pocketcard +new Pla
« Risposta #38 il: 22 Ottobre 2011, 18:12:22 »
 non gridare, non e' necessario
-=[]=--- iAN CooG/HVSC^C64Intros ---=[]=-
- http://hvsc.c64.org - http://intros.c64.org -

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #39 il: 22 Ottobre 2011, 20:49:45 »
 Ho fatto un copia e incolla di un mio file gia' scritto cosi (maiuscolo)
Avrei dovuto  riscriverlo hai pienamente ragione.
 

 
 

4e1541

  • Utente
  • **
  • Post: 206
  • Gioco Preferito: nessuno in particolare
Pocketcard +new Pla
« Risposta #40 il: 26 Ottobre 2011, 19:24:57 »
Citazione da: "oregon"
Beh, non è proprio fuori tema ... parliamo *anche* di sostituire il PLA o no?

E io ho detto la mia sul PLA ...

 
Perche' non spieghi (in un altro thread) come e cosa hai fatto?
A me interesserebbe, visto che ho un paio di pla da sostituire e non ho nemmeno una 27512 da provare col metodo classico.

ciao

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #41 il: 05 Novembre 2011, 10:18:58 »
 Anche questa avventura e' ormai giunta al termine.
Ringrazzio tutti quelli che vi hanno creduto e li rimando ai prossimi upgrade che probabilmente saranno disponibili nelle feste di natale qui
http://www.rgmelsat.com/listino1/novita.htm
Per il futuro sono disponibile a promuovere e/o partecipare allo sviluppo di qualche nuovo progetto,hardware e software, ma con la collaborazione di tutti, perche' mancando questa linfa si perde in parte l'entusiasmo del fare.
Aprite nuovi thread sulle vostre idee e ditemi quale contributo potete dare nella realizzazione in modo che ne possiamo parlare.
Perche' si sa l'unione fa la forza e le sinergie non sono mai sufficienti.
Ciao

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #42 il: 14 Dicembre 2011, 09:37:55 »
 Oggi sara' resa disponibile la versione 0.95 Beta del programma

 :c64: Pocket Cartridge Maker http://www.rgmelsat.com/listino1/novita.htm

News della release 0.95. :P
Possibilita' di scroll video per l'utilizzo su EEPC 1240x600.
Il programma ora genera insieme al file .bin anche un file di testo con l'elenco dei programmi/giochi inseriti nella flash.
La prossima settimana saranno resi disponibili gratuitamente su richiesta per i possessori della Pocketcard nuovi file compilati per le memorie flash 29f040.  
A risentirci.

**Attenzione** essendo un programma nuovo alcuni antivirus lo interpretano come falso positivo ,confermate l'attendibilita',disabilitare la protezione in tempo reale, lanciare il programma PocketCrtridgeMaker 0.95 e riabilitate la protezione in tempo reale dell'antivirus.
Se non lo fate l'antivirus lo blocca e in alcuni casi (Norton) lo cancellera'. :angry:  

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #43 il: 14 Dicembre 2011, 14:18:40 »
 
Citazione da: "jack58"
Oggi sara' resa disponibile la versione 0.95 Beta del programma

 :c64: Pocket Cartridge Maker http://www.rgmelsat.com/listino1/novita.htm

News della release 0.95. :P
Possibilita' di scroll video per l'utilizzo su EEPC 1240x600.
Il programma ora genera insieme al file .bin anche un file di testo con l'elenco dei programmi/giochi inseriti nella flash.
La prossima settimana saranno resi disponibili gratuitamente su richiesta per i possessori della Pocketcard nuovi file compilati per le memorie flash 29f040. 
A risentirci.

**Attenzione** essendo un programma nuovo alcuni antivirus lo interpretano come falso positivo ,confermate l'attendibilita',disabilitare la protezione in tempo reale, lanciare il programma PocketCrtridgeMaker 0.95 e riabilitate la protezione in tempo reale dell'antivirus.
Se non lo fate l'antivirus lo blocca e in alcuni casi (Norton) lo cancellera'. :angry:
OPSSS
Ho erroneamente scritto 1240x600
Volevo scrivere 1024x600
La versione 0.95 e' disponibile da pochi minuti sul web

jack58

  • Utente
  • **
  • Post: 274
  • Gioco Preferito: Dragon's Lair
Pocketcard +new Pla
« Risposta #44 il: 24 Dicembre 2011, 20:51:32 »
  :mavieni:
E' disponibile la nuova raccolta per la Pocketcard, il link sul sito:
http://www.rgmelsat.com/listino1/novita.htm.
Ho inserito anche  gli 11 videogame RGCD C64 16KB Cartridge Game Development Competition 2011”.
A questo punto non mi resta che augurare a tutti  un buon Natale e felice anno nuovo. :c64: